How does Applied Materials, lord of semiconductor equipment, make money?

Applied Materials

Company Profile

Introduction

Applied Materials, Inc. (ticker: AMAT) was founded in 1967 and is the world’s largest supplier of semiconductor manufacturing equipment and services. The main products are wafer manufacturing related products, such as atomic layer deposition, physical vapor deposition, chemical vapor deposition, electroplating, etching, ion implantation, rapid heat treatment, chemical mechanical polishing, metrology and silicon wafer inspection, etc., and include supporting software, Quality inspection services are provided to operating customers, such as various semiconductor manufacturers in wafer fabs and screen factories.

Semiconductor equipment supply chain

Because in the capital expenditure of advanced semiconductor processes, front-end process equipment accounts for as high as 80~90%, mainly provided by the five major equipment suppliers. In addition to Applied Materials, there are also ASML (ticker: ASML) , Lam Research (ticker: LRCX), Tokyo Electron (ticker: TOELY), KLA Corp (ticker: KLA).

These five major semiconductor equipment manufacturers occupy more than 70% of the global semiconductor device manufacturing industry with their leading technology and strong financial support.

Due to the technology gap, local manufacturers in Taiwan have less focus on front-end process equipment. The main entry points are all low-end area like outsourcing, factory engineering, back-end process equipment, component maintenance and access, etc. Related supply chains such as Foxsemicon Integrated, MicB2B, Feedback Technology, CalTech and GongIn etc.

For a complete description of the semiconductor equipment supply chain, please see:

Product Line

Applied Materials mainly provides physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), chemical mechanical polishing (CMP), heat treatment (RTP), ion implantation, etching and detection equipment. , has a very high market share in the world.

The most famous product Producer

Launched in July 1998, the Producer platform helps speed up chip execution by changing the metal layer wiring of the chip from aluminum to a more ideal conductor, copper. From the launch of the Producer platform 20 years ago to the 20th anniversary of the platform in 2018, the Produce platform shipped as many as 5,000 units.

This manufacturing system helps manufacture almost all chips in the world. In other words, almost all computer chips in the world are manufactured using the Producer platform. It has processed 1.9 billion square meters of silicon wafers, an area equivalent to 30 times the size of Manhattan, New York, USA, and currently supports 10 technology nodes from 180nm to 5nm.

Over the past 20 years, we have helped promote technological transitions in various industries, including copper, strain engineering, high-dielectric metal gates, FinFET and 3D NAND; today’s Producer platforms can be configured specifically to cope with Moore’s Law Growing challenges.

The Producer platform was originally a single-process system designed to perform chemical vapor deposition and became the industry standard.
Over the years, Applied Materials has expanded the platform’s technology capabilities to include etching, selective removal and thinning Membrane treatment technology. Today, more and more materials are approaching the physical limits of traditional Moore’s Law scaling. The current platform can be used as an integrated process platform, providing various combinations of deposition and thin film processing, deposition and etching, or deposition and selective removal in the same vacuum system.

Necessary for semiconductor manufacturing process

Before its market value was surpassed by ASML, Applied Materials was an irreplaceable equipment manufacturer for manufacturing semiconductor wafers. This is still true so far.

However, after entering the node of more advanced semiconductor wafers, the importance of advanced process manufacturing equipment DUV and EUV lithography machines became indispensable, allowing ASML to seize the semiconductor process equipment that Applied Materials has maintained for decades. King’s style. For this part, please see my other post for detailed analysis: “”ASML, who dominate TSMC’s fate“.

Three major business units

Applied Materials is organized into three primary business segments: Semiconductor Products, Applied Materials Global Services, and Display and Adjacent Markets.

Semiconductor products

The company develops and manufactures equipment for wafer fabrication steps used in the fabrication of semiconductor devices, including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), rapid thermal processing (RTP), chemical mechanical polishing ( CMP), etching, ion implantation and wafer inspection. [32] The company acquired the group’s Semitool in late 2009. In 2019, Applied Materials agreed to acquire semiconductor maker Kokusai for $2.2 billion.

Apply global services

The Applied Global Services (AGS) team provides equipment installation support and warranty extension support as well as maintenance support. AGS also provides new and refurbished equipment, as well as upgrades and enhancements to the installed base. The segment also includes automation software for manufacturing environments.

Display and adjacent markets

AGS will merge the existing business unit with the display business unit of Applied Films Corporation, which it acquired in mid-2006. The manufacturing process of TFT LCDs (Thin Film Transistor Liquid Crystal Displays) commonly used in computer monitors and televisions is similar to that of integrated circuits. Both TFT-LCD and integrated circuit production use photolithography, chemical and physical vapor deposition, and testing in a clean room environment.

Business analysis

Financial year 2023

In fiscal year 2023, Applied Materials announced a profit of US$6.856 billion, annual revenue of US$26.517 billion, and a revenue growth rate of 0.88%. On a GAAP basis, the company’s gross margin was 46.7%, operating income was $7.65 billion, accounting for 28.9% of net sales, and earnings per share were $8.11.

2023 Q3 Overview

Judging from various business indicators, Applied Materials has performed well in wafer foundry, logic and other semiconductor systems, with revenue accounting for 79% of total semiconductor system revenue, higher than 66% in the same period last year; in memory chips Business revenue in the field was slightly weaker, with DRAM equipment accounting for 17% of total semiconductor system revenue and NAND Flash equipment accounting for 4%. This is because memory chip customer spending is at its lowest level in more than a decade. .

Customers

Almost all semiconductor manufacturers in the world are customers of Applied Materials. For a long time, Intel (US stock code: INTL) has been the second largest customer, accounting for approximately 12% of Applied Materials’ total sales. TSMC (US stock code: TSM) has been the largest. Large customers account for up to 14% of sales.

Geo

In 2022, the Chinese market will account for approximately 30% of the revenue of Applied Materials, Kelei and Fanlin Group. Applied Materials’ sales to China in 2020 alone were worth US$5 billion.

Challenges and risks

Main challenges

If Applied Materials wants to regain the throne of the global semiconductor equipment market value, the main challenge must be to find a replacement solution for ASML’s photolithography machine.

ASML’s photolithography machine

ASML’s lithography machines allow circuit patterns that allow the wafer to store and access data to be burned onto the wafer, which is then filled with other metals and other substances. The lithography stage of this process has become increasingly difficult as circuits shrink in size to smaller than the wavelength of most light sources. ASML’s extreme ultraviolet equipment (EUV) can handle the manufacturing processes of these advanced semiconductors. EUV machines are the company’s “cash cow”, and its sales have nearly doubled since 2019.

ASMO’s machines are the most expensive machines in semiconductor equipment. However, ASML’s method has its flaws. Some parts of the wafer require multiple exposures, and more than two exposures must be performed to achieve the desired effect.

Applied Materials’ New Lithography Technology

Applied Materials’ CenturaSculpta machine is a so-called pattern forming system that allows customers to reduce the time they have to spend on the lithography process. Applied Materials’ new machine uses electro-activated chemical technology to complete this part of the process, eliminating the need for some multiple exposures. Applied Materials said the idea is to “complement” UV lithography while addressing the economic and environmental issues of advanced chip manufacturing.

This new equipment is expected to add US$200 million to US$300 million to Applied Materials’ annual revenue, but it will not weaken Asmore’s EUV revenue in the short term. Chip manufacturers that adopt this new system can save up to US$250 million in capital costs when operating a production line that processes 100,000 wafers per month, with a cost saving of US$50 per wafer. The energy saving benefit reaches 15 kilowatt hours, saves 15 liters of water, and reduces the emission of carbon dioxide by 0.35 liters.

This new product is mainly used to produce advanced logic chips, such as Huida’s graphics processors for AI. Currently, only TSMC, Samsung and Intel in the world specialize in the production of this type of chips. Intel is the first company that has adopted this new machine.

Geopolitical risks

Affected by the U.S. ban on semiconductors in China, in October 2022, Appliances, Kelei and Kelin began to evacuate their factory employees at Yangtze Memory and suspended their business in China.

In November 2023, Applied Materials was subject to a criminal investigation by the U.S. government for violating export restrictions to China. Affected by this, the stock price immediately plummeted 7.61%. In February 2024, the United States once again investigated Appliance’s Chinese business, and Appliance’s stock price immediately fell by 2%.

Since China accounts for more than 30% of the company’s revenue, investors must consider that the U.S. government’s ban on China’s semiconductors will only be strengthened in the foreseeable future and is unlikely to be relaxed.

Applied Materials
Credit: Applied Materials

Related articles

Disclaimer

  • The content of this site is the author’s personal opinions and is for reference only. I am not responsible for the correctness, opinions, and immediacy of the content and information of the article. Readers must make their own judgments.
  • I shall not be liable for any damages or other legal liabilities for the direct or indirect losses caused by the readers’ direct or indirect reliance on and reference to the information on this site, or all the responsibilities arising therefrom, as a result of any investment behavior.

Leave a Reply

Your email address will not be published. Required fields are marked *

error: Content is protected !!